前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >对RAM初始化怎么做

对RAM初始化怎么做

作者头像
Lauren的FPGA
发布2019-10-30 21:46:52
1.9K0
发布2019-10-30 21:46:52
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

RAM的初始化并没有那么神秘,如果是采用Xilinx的IP Core,只需提供一个.coe文件,其内容就是RAM的初始值,只需要注意coe文件的格式就好,这里就不再赘述。

初始化方法

如果是自己写的RTL代码,如何初始化呢?这里提供两个常用方法。来看一个例子,如下图所示。

代码第16行,通过default将所有地址的初始值设置为0;

代码第17行,通过索引结合default的方式,将地址0的初始值设置为4,地址1的初始值设置为5,其余地址的初始值设置为0;

代码第19行至第25行,采用initial语句,通过调用readmemb(类似的还有readmemh)实现对RAM的初始化。使用$readmemb时,需要提供一个.mem文件,文件内容就是RAM的初始值,这里给出一个.mem的例子,如下图所示。

仿真问题

使用$readmemb时,需要把.mem文件加入到Vivado工程中,如下图所示。

如果没有添加此文件,会显示如下Warning信息。

综合问题

无论是default还是readmemb定义的初始值,在综合时都是可以生效的。对于一个深度为4宽度为4的单端口RAM,综合后的网表如下图所示。

通过如下图所示的Tcl命令可获得相应的初始值,与init_data.mem中定义的初始值是一致的。

结论

两种RAM初始化的方式:

-采用default或地址索引加default的方式

-采用$readmemb或$readmemh的方式

思考空间

如果需要对ram_block的2号和3号地址分别定义初始值为1和4,其余地址对应初始值为0,该如何描述?

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2019-06-04,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档