前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >仿真实例1——正弦函数仿真(ROM)

仿真实例1——正弦函数仿真(ROM)

作者头像
瓜大三哥
发布2020-05-07 20:37:32
1.7K0
发布2020-05-07 20:37:32
举报
文章被收录于专栏:瓜大三哥瓜大三哥

对于FPGA来说,产生三角函数,幂函数,指数函数或者log函数等,如果真的使用乘法器来撘电路,那是极其消耗DSP资源的 ,所以一般情况下都是采用LUT进行查表获取的。 所以产生一个正弦波形的实现步骤如下: 1.正弦函数的在给定取值范围内的函数值 2.将正弦函数的函数值存入ROM或者RAM中(初始化) 3.从ROM或者RAM中读出函数值

1.matlab获取正弦函数值

代码语言:matlab
复制
t=[0:0.1:90];##产生第一象限0°~90°之间的正弦函数值
x=pi*t/180;
sin_vale=sin(x);
fid=fopen('sin.mif','wt');##待写入的文件
fprintf(fid,'width=14;\n');
fprintf(fid,'depth=1024;\n');
fprintf(fid,'address_radix=uns;\n');
fprintf(fid,'data_radix=dec;\n');
fprintf(fid,'content begin\n');
for j=1:901
    i=j-1;
    k=round(sin_vale(j)*16384);
    if(k==16384)
        k=16383;
    end
    fprintf(fid,'%d,\n',k);
end
fprintf(fid,'end;\n');
fclose(fid);

产生的sin.mif文件如下图所示

2.将sin.mif文件存入ROM中

选择IP->Block Memory Generator,第一步在Memory type选择Single port Rom

选择端口的宽度Port A为14,选择端口深度Port A为1024

然后选择COE文件Editor,将刚才matlab生成的数据填写Vector即可。

3.读ROM进行仿真

仿真ROM IP,编写testbench文件。

代码语言:matlab
复制
`timescale 1ns / 1ps
module sin;
    parameter DW=15;
    parameter AW=10;
    parameter ADDR_MAX=900;
    parameter const_half_pi = ADDR_MAX - 1; //90°
    parameter const_pi = ADDR_MAX*2 - 1; //180°
    parameter const_double_pi = ADDR_MAX*4 - 1; //360°
    reg [AW+2-1:0] address_tmp;
    reg [AW-1:0] address;
    reg clk;
    wire [DW-1:0] q_tmp;
    reg [DW+1-1:0] q_tmp1;
    wire [DW-1:0] q;
    initial
    begin
    clk<=0;
    address_tmp<= {AW+2{1'b0}};
    end
    blk_mem_gen_0 sin_test (
      .clka(clk),    // input wire clka
      .addra(address),  // input wire [9 : 0] addra
      .douta(q_tmp)  // output wire [14 : 0] douta
    );

    always #10 clk = ~clk;
    always @(posedge clk)
    if(address_tmp == const_double_pi)
    begin
        address_tmp <= {AW+2{1'b0}};
        address <= {AW{1'b0}};
    end
    else
    begin
        address_tmp<=address_tmp+1'b1;
        if(address_tmp<=const_half_pi)
        address <= address_tmp[AW-1:0];  //the first quadrand
        else if(address_tmp <= const_pi)  //the second quadrand
        address <= const_pi - address_tmp;
        else if(address_tmp <= (const_pi+const_half_pi))//the third quadrand
        address <= address_tmp-const_pi;
        else
        address <= const_double_pi-address_tmp;
    end
    always @(posedge clk)
    begin
    if(address_tmp<=const_pi)
        q_tmp1<={1'b0,q_tmp};
    else    
        q_tmp1<={DW+1{1'b0}}-{1'b0,q_tmp};
    end
    assign q = q_tmp1[DW-1:0];
endmodule

仿真波形如下图

小编寄语:其实对于ROM来说,原先是需要一个COE文件的,而且只能进行读取,不能写入,现在的verilog语法已经可以对RAM或者寄存器初始化,所以以后很可能不需要ROM了。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-04-29,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 1.matlab获取正弦函数值
  • 2.将sin.mif文件存入ROM中
  • 3.读ROM进行仿真
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档