前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >第五届集创赛杯赛题目公布,看这篇就够了

第五届集创赛杯赛题目公布,看这篇就够了

作者头像
数字积木
发布2021-04-15 16:43:43
3K0
发布2021-04-15 16:43:43
举报
文章被收录于专栏:数字积木数字积木

本文由公众号【数字积木】收集整理,欢迎转载!

“全国大学生集成电路创新创业大赛”由工业和信息化部人才交流中心主办,重庆高新技术产业开发区管理委员会承办,央视网 为媒体支持单位。第五届总决赛设置在重庆举行。

大赛以服务产业发展需求为导向,以提升我国集成电路产业人才培养质量为目标,打造产学研用协同创新平台,将行业发展需求融入教学过程,提升在校大学生创新实践能力、工程素质以及团队协作精神,助力我国集成电路产业健康快速发展。

本届大赛共分为6大赛道,18个杯赛!

赛题的官方网址如下:

http://univ.ciciec.com/col.jsp?id=134

点击文末【阅读原文】也可直到官网!

6大赛道分别为:

  • 射频于模拟芯片设计道,下设3个杯赛
    • IEEE杯、燕东微电子杯、艾为杯
  • 数字与SOC设计道,下设3个杯赛
    • Arm杯、芯来RISC-V杯、若贝杯
  • 国产处理器和芯片应用道,下设4个杯赛
    • 平头哥杯、航天微电子杯、飞腾杯、中科芯杯
  • FPGA设计和应用道,下设3个杯赛
    • 紫光同创杯、海云杯、Digilent杯
  • 产业链赛道,下设4个杯赛
    • 华大九天杯、北方华创杯、NI杯、信诺达杯
  • 创新实践赛道,下设1个杯赛
    • 芯动科技杯

下面对各个赛道赛题做简单介绍!

射频与模拟芯片设计赛道

1,IEEE杯

¨ 杯赛题目:28GHz高能效PA设计(Outphasing or Doherty Topology)

¨ 参赛组别:B组

¨ 设计任务:

1. 设计一个满足性能要求的工作在28GHz的功率放大器,电路性能强调在达到一定的输出功率与线性度的条件下,平均输出功率的高能量转换效率(peak and PBO)。建议采用Outphasing拓扑结构。

¨ 设计指标:

1. 工作频率28GHz

2. 采用架构(architecture)由并联电路拓扑Outphasing或Doherty PA任选一

3. Psat及增益:22dBm/12dB

4. 效率: peak PAE and at PBO (Power Back-Off,功率回退) of 6dB 不低于35%与25%(参考值)

5. 线性度: P1dB 为20dBm

6. 采用Cadence 45nm CMOS GPDK工艺库或各校自有的45/55/65nm PDK;

2,燕东微电子杯

¨ 杯赛题目:基于0.18umBCD工艺高可靠步进式电机驱动芯片设计

¨ 参赛组别:B组

¨ 设计任务:

1. 基于0.18umBCD工艺,设计高可靠步进式电机驱动芯片。

¨ 设计指标

1. 逻辑工作电压范围:3~5V

2. 输入电源电压范围:3V~5V

3. 功率电源电压范围:35V~40V

4. 内置数据接口(IIC或SPI等均可),尽可能减少端口占用,有清零置位逻辑

5. 内置全桥功率器件(全部为NMOS管)

6. 可输出35V,±2.5A的驱动电流

7. PWM控制,步进频率500KHz以上,最高32步进。

8. 输出导通电阻:≤0.25Ω

9. 内置软启动、欠压保护、过流保护、过温保护模块;

10. 具备全片EN信号。

3,艾为杯

¨ 杯赛题目:具有高瞬态响应的低功耗无片外电容LDO设计

¨ 参赛组别:B组

¨ 设计任务:

设计一款无外接电容的LDO电路,该电路用于数模混合芯片内部数字部分的供电。

¨ 设计指标:

1. 工作温度:-40℃~+125℃

2. 工作电压:2.5V~3.6V

3. 供电电压调整率:8mV/V(工作电压范围2.5V~3.6V)

4. 负载调整率:在PVT下,输出电流范围(10uA~20mA)理想参考电压下输出电压变化范围为1.2V+/-1%

5. LDO输出电压时域响应:1.2V+/-10%(理想参考电压情况下,负载电流从低(200uA)变高(20mA),或者从高(20mA)变低(200uA),切换时间≤1ns,恢复时间小于5us。

6. 工作电流:静态电流:≦10uA(无负载,该设计不包括带隙基准设计)

7. 负载电流能力:平均负载10mA

8. LDO在2pF~100pF(100uA~20mA),保持稳定性

9. 工艺:不限


数字与SoCs设计赛道

1,Arm杯

¨ 杯赛题目:基于Arm处理器核的信号处理SoC设计

¨ 参赛组别:B组

¨ 赛题内容:

随着疫情对于全球的影响,无人系统在现代社会中的作用日益凸显。本赛题要求参赛选手利用Arm Cortex-M3 DesignStart处理器在限定的可编程逻辑平台上构建片上系统,实现面向无人值守的信号处理SoC,包括但不限于:无人机/小车、仪器仪表、工业控制、机器视觉、智能监控等无人系统的核心芯片,要求系统具有创新性、实用性和可演示性。具体要求如下:

1. 选题内容不限,针对面向无人系统的智能检测、智能识别、智能交互、智能监控、智能控制等具体功能合理选题,鼓励跨学科融合。SoC本身应具备较强的智能处理能力,能够支撑在无人系统实时、高效运行智能算法。不能将智能算法的主体部分部署在云端或其它高性能计算设备上。

2. 在Arm官网申请下载 Cortex-M3 DesignStart Eval处理器IP,在指定的FPGA平台上构建Cortex-M3片上微处理器子系统。系统应至少包含:

1) ArmCortex-M3 DesignStart处理器;

2) 利用片上或板上资源实现的ROM与RAM;

3) 支持外部在线开发调试的SWD或JTAG调试接口;

4) 与芯片外部引脚连接的GPIO外设。

使用KeilμVision工具编写并生成软件程序,实现对于SoC上运行的软件程序的在线实时调试。将对应的输入、输出引脚连接至板上开关与LED,确认程序正确运行。

3. 在可编程逻辑器件平台上利用板载资源或扩展的硬件资源,添加信号预处理、图像采集、人机交互、电机驱动等接口功能;

4. 在 SoC中集成具备高效执行智能处理算法(包括但不限于神经网络/机器学习等)能力的硬件加速器,具体集成形式可采用协处理器/独立加速器/通道加速器等多种形式,在设计中应突出硬件加速器对系统的优化效果,使SoC具备支撑无人系统实时、高效的处理智能化任务的能力;

5. 以软硬协同的思想对SoC进行全面优化,确定合理的软硬件任务划分,分析优化前后SoC整体性能的变化;

6. 基于FPGA和外围电路实现具备支撑无人系统的视觉、识别、控制等子系统或完整的无人系统原型用以验证SoC的功能和性能,最终作品应具备一定的完整性和可演示性。

7. 系统整体框图:

2,芯来RISC-V杯,

¨ 杯赛题目:基于蜂鸟E203 RISC-V处理器内核的SoC设计

¨ 参赛组别:B组

¨ 赛题内容:

基于芯来科技的开源蜂鸟E203 Demo SoC进行扩展,在限定的可编程逻辑平台上构建面向专用应用领域(譬如人工智能、信息安全、工业控制等)的SoC,进而完成一定实际应用。要求在所搭建的SoC中实现专门的硬件加速器以提高系统整体性能,所实现的系统具有创新性、实用性以及市场应用前景。具体要求如下:

1. 使用芯来科技提供的开源蜂鸟E203 SoC平台,系统框图如下所示(可对Demo SoC的外设进行修改,内核必须采用蜂鸟E203 Core)

2. 针对所选择的应用领域,以软硬协同的设计思想对系统进行合理的软硬件任务划分,分析并说明软硬件任务划分的合理性

3. 根据软硬件任务的划分,基于蜂鸟E203内核的NICE协处理器扩展接口或者基于蜂鸟E203 SoC中系统总线添加硬件加速单元

4. 根据软硬件任务的划分,在蜂鸟E203处理器的软件开发平台HBird SDK中添加所实现硬件加速单元的软件驱动程序

5. 采用所实现的SoC完成一定实际应用,能体现出硬件加速器对系统带来的性能提升。

6. 参考应用方向:

1) 图像处理,譬如人脸识别,物体跟踪等。

2) 音频处理,譬如语音识别,音频编解码等。

3) 运动控制,譬如多个永磁同步/无刷直流电机的闭环控制。

4) 信息安全,譬如AES、DES、SM2/3/4算法的实现等。

7. 注意:选题不限于以上参考应用方向,可优先考虑以上参考应用方向,亦或者是多个应用方向的综合。

3,Robei杯,

¨ 杯赛题目:基于Robei EDA工具的可重构机器人

¨ 参赛组别:A组

¨ 赛题内容:

随着中国老龄化到来和智能设备的普及,人类社会对技术依赖也越来越重。虽然手机解决了通信、娱乐的需求,可以让远在天边的朋友共享信息,但是解决不了近在眼前的生活问题。机器人作为人类生活和工作的助手,在未来世界中将扮演越来越重要的角色。传统机器人都是基于嵌入式处理器来开发,直接以可编程逻辑器件或专用集成电路方式打造的软硬件均可重构的机器人非常稀缺,因此本赛题要求采用Robei EDA工具进行开发,结合感知系统(传感器)、执行系统(电机)、电路系统(可重构电路系统)和相关算法软件等设计一款创新而且具备市场潜力的可重构机器人,并通过Robei EDA工具和FPGA进行验证,搭建整体硬件系统与演示环境。


国产处理器与芯片应用赛道

1,平头哥杯

¨ 杯赛题目:基于平头哥无线智能开发板的创新应用项目设计

¨ 参赛组别:B组

¨ 赛题内容

TXW8301 是泰芯研发的全球第一颗 802.11ah 量产芯片,具有完全自主知识产 权。该芯片集成了平头哥玄铁 803 CPU 内核,支持最高 192 MHz 主频。

该芯片的 WiFi 可工作在 730~950 MHz 频段,在相同发射功率下可实现比 2.4 GHz 和 5 GHz Wi-Fi 更远的传输距离和更强的穿透性。该芯片最大发射功率 26 dBm,接收灵敏度高达 -108 dBm,可在最高 3 公里的距离实现 1080P 视频传输。

该芯片支持 1/2/4/8 MHz 的信道宽度,可提供 150 Kbps 到 32.5 Mbps 的物理吞吐量,低速率传感器到高速率监控摄像机的应用均可支持。

该芯片提供了 USB、SDIO、SPI、UART,RMII 等接口,可应用于视频监控、智能家居、无人机图传、照明监控、道路监控、电梯监控等多种场景。

该芯片可使用平头哥 CDK 开发工具进行开发。

本赛题为开放式赛题,同学们可基于平头哥与泰芯半导体有限公司合作开发的智能无线开发板进行创新应用项目设计,发挥开发板中 TXW8301 WiFi 芯片传输距离远、穿透性好等特点,自拟具有创意的赛题,体现智能无线互联的主题。

以下给出3个参考示例,鼓励同学们在尽量少使用其它主控平台的前提下,创造比示例更加有趣的作品。

示例1 图传安全头盔:

头盔可集成摄像头和语音对讲模块,结合 TXW8301 WiFi 芯片传输距离远、穿透性好等优点,在电塔、矿井等场景也能和地面人员保持联系。地面人员不仅能实时了解工作人员安全情况,还能进行操作指导。

本示例实现了视频采集、语音对讲、视频发送(转发到电脑播放)等功能。

示例2 智能管道检测维护机器人:

管道可能会因各种原因出现异常,使用进入管道的机器人对管道进行检测和维护是十分便捷且安全的。可以尝试开发一个机器人,其能够在管道中行进,通过 TXW8301 提供的 WiFi 与工作人员进行实时交互,还能通过摄像头、热成像仪、温度/气压/气体等传感器对管道进行检测,能够使用机械臂等部件对管道进行维护。

本示例实现了视频采集、电机控制、视频发送(转发到电脑播放)等功能。

示例3 智能无人救援车:

应用于灾难救援,该小车可集成摄像头和语音对讲模块,结合 TXW8301 WiFi 芯片传输距离远、穿透性好等优点,深入倒塌建筑物内进行搜救时也可实现实时视频传输和语音对讲,提高救援效率。

本示例实现了视频采集、电机控制、语音对讲、视频发送(转发到电脑播放)等功能。

2,航天微电子杯

¨ 杯赛题目:基于BMTI高可靠SPARC处理器的智能控制系统设计

¨ 参赛组别:B组

¨ 赛题内容:

利用BMTI高可靠300MHz处理器在限定的硬件开发板基础上,通过扩展子板等方式构建智能控制系统,实现信号采集、处理或系统的控制。通过系统方案设计,最大化利用BMTI处理器资源,可以通过子板设计相关硬件加速提高和优化控制系统性能,实现对采集信号的智能处理和分析,要求控制系统具有创新性、实用性。具体要求如下:

1. 内容不限,可以针对系统控制、数据采集及分析、智能信号处理、人机交互等应用合理选题,鼓励跨学科、跨领域融合,SPARC处理器包含丰富的外设接口,本身具有较强的控制和数据处理能力,可根据参赛者需要选择并应用相应接口;

2. 使用BMTI高可靠SPARC处理器提供的硬件开发板,硬件资源包括:

1) 300MHz高可靠BMTI处理器;

2) 板级扩展ROM和RAM;

3) 处理器芯片外围接口连接件(覆盖UART、GPIO、SPI、I2C、MAC等基本功能);

参赛者在给定硬件基础上通过子板灵活扩展SPARC处理器系统,实现各自的系统应用解决方案。使用SPARC-IDE工具编写生成软件应用程序,应用结果可通过板级相关硬件资源进行演示;

3. 可以利用扩展硬件资源,为BMTI高可靠SPARC处理器添加相关的信号采集、人机交互等功能扩展;

4. 可以通过子板扩展可编程应用或硬件加速器,为BMTI处理器添加智能处理相关应用,具体形式可以多样,在设计中应突出扩展功能对系统的优化效果;

5. 以软硬件协同思想对处理器进行全面优化,确定合理的软硬件任务划分,通过板级应用方案实现处理器功能性能最大化利用。

3,飞腾杯,

¨ 参赛题目:基于飞腾ft2004开发板国密SCTO模块的文件加密存储和传输

¨ 参赛组别:B组

¨ 赛题内容:

基于飞腾ft2004开发板国密SCTO模块,完成文件的本地加密存储和远端加密传输。

SCTO模块是飞腾ft2004开发板的国密加速引擎,支持国密SM2,SM3,SM4的加解密算法,举办方提供飞腾ft2004开发板环境(带SCTO模块)和基于Linux的SCTO驱动。

1. 文件本地加密存储采用SM4,密钥来自文件的SM3摘要结果,或输入密码字符串的SM3摘要结果,要求也能解密恢复。

2. 生成SM2的私钥公钥密码对,实际演示时,网口环回处理,文件本地用私钥加密发送,接收端用公钥解密复原。文件不能有修改。截取加密的传输数据以供裁判评判。

3. 能结合以上两点,形成一个整体软件产品可以作为裁判评判加分考虑。

4,中科芯杯,

¨ 杯赛题目:基于微视传感MEMS编码结构光投射模组与3D相机的开发与应用设计

¨ 参赛组别:B组

子题目1:基于微视传感MEMS 编码结构光投射模组的3D相机开发

1. 赛题内容

1) 各参赛队针对微视传感提供的MEMS编码结构光投射模组(以下简称“模组”),实现一种3D相机的开发,可根据自身3D算法优势自定义MEMS 编码结构光编码图案。赛事分为预赛筛选、初赛、分区决赛及总决赛四个阶段。

2) 预赛筛选阶段,主办单位技术专家首先开展对此模组的资料解读、二次开发资料培训与技术答疑,各参赛队在接受培训后10个工作日内提交相关材料,统一评审打分排序,筛选前20支团队寄送模组。

3) 初赛阶段,此20支团队以模组为基础,发挥算法能力,搭建出3D相机DEMO,结果以点云采集帧率、输出精确度为准。参赛团队须按时提交作品(包括设计报告,设计数据,演示视频等),由主办单位技术专家评审打分,最终10支团队进入分区决赛。如有未按时完成作品或初赛得分在60分以下者,须立即寄回模组,以便主办方及时安排后续团队补位参赛。

4) 分区决赛阶段与总决赛阶段,入围队伍均应提前调试好相关设备,将自主搭建的3D相机现场展开介绍演示,被测物品由主办方现场提供。参赛团队现场演示作品并进行答辩。暂定分区决赛的5支优胜者团队进入总决赛。

2. 赛题指标

1) 微视传感提供的MEMS 编码投射模块参数如下:

产品参数参考公司网站:http://www.mvstek.com/mozu.html,工作波长850nm±10nm、分辨率900×1、FOV =60°(水平方向)、FOV=48°(垂直方向)、推荐工作距离200-800mm;

2) 比赛结果按各参赛队输出3D点云精度性能指标进行排名,评判标准如下:帧率≥2fps、精度≤0.1mm、宽动态(不挑材质,不含镜面反射物体)、分辨率≥100万像素、高质量点云。

子题目2:基于微视传感MEMS-3D相机应用开发

1. 赛题内容

1) 选手基于MEMS-3D相机进行3D识别、3D重建、机器视觉引导或其它创新应用的开发(适选手能力多选一)。赛事分为预赛筛选、初赛、分区决赛及总决赛四个阶段。

2) 预赛筛选阶段,主办单位技术专家首先开展此相机的的资料解读、二次开发资料培训与答疑,各参赛队在接受培训后10个工作日内提交相关材料,统一评审打分排序,筛选前20支团队寄送模组。

3) 初赛阶段,此20支团队以相机为基础,发挥算法能力,进行应用开发。参赛团队须按时提交作品(包括设计报告,设计数据,演示视频等),由主办单位技术专家评审打分,最终10支团队进入分区决赛。如有未按时完成作品或初赛得分在60分以下者,须立即寄回相机,以便主办方及时安排后续团队补位参赛。

4) 分区决赛阶段与总决赛阶段,入围队伍均应提前调试好相关设备,将自主搭建的3D相机现场展开介绍演示,被测物品由主办方现场提供。参赛团队现场演示作品并进行答辩。暂定分区决赛的5支优胜者团队进入总决赛。

2. 赛题指标

1) 微视传感提供的MEMS-3D相机参数如下:

产品参数参考公司网站:http://www.mvstek.com/MEMS-3D.html,工作波长850nm、工作范围300-600mm、点云分辨率1280×1024、单幅测量时间0.5s、单幅深度精度0.1~0.5mm;

2) 由于基于MEMS-3D相机的应用开发具有多样性,比赛根据各参赛队的技术创新性、不可替代性及实际成果展示效果进行综合评分。


FPGA设计与应用赛道

1,紫光同创

¨ 杯赛题目:基于紫光同创PGL22G芯片的嵌入式系统

¨ 参赛组别:B组

¨ 赛题内容:

利用PGL22G可编程逻辑平台上构建片上系统,实现图像信号的采集和处理具体要求如下:

1. 运行软核:Arm M1或Risc-V,跑简单的操作系统;

使用ArmCortex-M1或 Risc-V 在指定的FPGA平台上构建简单的片上系统。系统应至少包含:

1) 处理器;

2) 与芯片外部引脚连接的GPIO外设。

使用Keil工具编写并生成软件程序,实现GPIO输出引脚跟随GPIO输入引脚变化。将对应的输入、输出引脚连接至板上开关与LED,确认程序正确运行。

2. 实现文件或图片的TF卡存储

1) 片上操作系统实现对平台上TF卡的访问: 包括文件或图片的读操作和写操作;

2) 需要有TF卡的空间坏区检测程序;

3. 将TF卡的内容通过RJ45网口远传到电脑并显示;

1) 采用UDP实现内容的传输到电脑;

2) 在电脑上位机显示;

¨ 系统整体框图:

2,海云杯

¨ 杯赛题目:基于FPGA C5Soc的MobileNetV1 SSD目标检测方案设计

¨ 参赛组别:B组

¨ 设计任务:

1. 基于已训练好的SSD模型参数文件、基于已有的Intel FPGA工程网表文件、Linux-C5soc平台的Paddle-Paddle框架驱动为参考,优化或者重新设计加速器以及对应驱动,并部署SSD模型到FPGA进行推理。

2. 对方案进行评估和实现

3. 提出设计方案,提升性能并实现

4. 基础部分100,附加部分40分。最后总分按两部分想加得到。

备注:SSD模型参数文件、工程网表文件,包括整个工程结构顶层以及各模块连接关系,包括卷积、全连接等算子以及互联矩阵、调度模块等CNN加速器的完整工程。

¨ 设计指标:

1. 模型部署完成,能正确识别图片数据,推理时间1s以内。

2. 完成方案设计,完成方案设计文稿

3,Digilent杯

¨ 杯赛题目:基于FPGA开源软核处理器的硬件加速智能系统设计

¨ 参赛组别:A组 

¨ 赛题内容:

利用业界主流软核处理器(仅限于Cortex-M系列及 RISC-V系列)在限定的DIGILENT官方FPGA平台上构建SoC片上系统,在 SoC中添加面向智能应用的硬件加速器(如从最简单的矩阵乘加加速到更复杂的神经网络硬件优化实现)以提高和优化系统的性能,并基于该SoC实现一个基于该SoC的智能信息处理系统,系统具有创新性、实用性和具体的应用场景。具体要求如下:

1. 选题内容要求必须能够实现带有硬件加速功能的智能系统应用,鼓励面向图像处理、视频处理、语音识别检测、智能可穿戴等“泛AI”应用创新且合理选题,鼓励“新工科”跨学科交叉创新,SoC本身具备智能“感知”、“决策”、“执行”等部分,可酌情添加“通信”部分,可以进行云边端融合创新应用实现, 但主体部分需部署在FPGA SoC端且体现出边缘端作为主体的优势.

2. 使用业界主流指令集兼容的软核处理器构建SoC,且片上系统应至少包含:

1) 仅限于Cortex-M系列, RISC-V系列的开源软核处理器;

2) 利用片上或DIGILENT板上资源实现的ROM与RAM;

3) 与芯片外部引脚连接的GPIO外设;

4) 至少一个音频或者视频人机交互接口

选择对应软核处理器配套工具链设计软件程序,通过SoC硬件数字GPIO来驱动8位逻辑分析仪,并在逻辑分析仪上滚动打出对应的SoC内核名称(如:CortexM0, lowRISC, E300 等 等),组委会推荐使用DIGILENT虚拟仪器的逻辑分析仪来进行该基本SoC GPIO功能展示。

3. 在DIGILENT平台上可利用FMC, Zmod,Pmod等业界标准接口来扩展硬件资源,实现更加丰富的场景应用;

4. 以软硬协同的思想对SoC进行全面优化,确定合理的软硬件任务划分,分析优化前后SoC整体性能的变化。

5. 酌情为设计的SoC添加具备执行机器学习、深度学习等模型的硬件加速器,能够充分体现片上硬件加速器对场景应用的性能提升作用。

6. 可以基于纯FPGA设计、或者直接使用带硬核的ZYNQ的平台设计(使用ZYNQ时同样需要在PL即FPGA部分体现硬件加速功能);


产业链赛道

1,华大九天杯

2,北方华创杯

¨ 杯赛题目:半导体设备的智能排产系统

¨ 参赛组别:B组

¨ 赛题内容:

1. 赛题背景

人工智能及相关技术的发展为工业的变革带来了新契机,其中智能排产技术在制造企业的生产过程发挥着十分重要的作用。特别是对于半导体设备,需要智能排产系统以提升加工晶圆的产量。但是,半导体设备的结构和晶圆的工艺流程十分复杂,这直接导致了晶圆在设备内不仅存在资源的竞争还会出现某些模块状态的改变和工艺模块更换等要求。以NAURA 设备为例,设备中有多个搬运晶圆的机器人,这些搬运机器人根据不同的工艺需求将晶圆搬运到指定工艺模块加工,在晶圆经过某个模块后可能会导致该模块的状态发生变化进而影响后续晶圆的加工,并且当设备运行一段时间后某些工艺模块需要进行更换,而半导体设备要想正常运转又必须满足这些需求。因此,设计出既满足复杂需求又能提升产能的智能排产系统对半导体设备十分关键。

2. 赛题描述

假设有一半导体设备如图1 所示:

图1 半导体设备内部示意图

设备内部各位置含义和要求解释如下:

1) 仓库中有若干晶圆盒,晶圆盒里装有等待加工的晶圆,每个晶圆盒里最多可以装载5 片晶圆,同一晶圆盒中晶圆的工艺一致。

2) 晶圆装卸站:同时只能装载一个晶圆盒,不能直接装载晶圆。

3) 晶圆合并站:同时最多可以装载 10 片晶圆,不能装载晶圆盒。

4) 缓冲区:同时最多可以装载 10 片晶圆,不能装载晶圆盒。

5) 晶圆加工站:

n 同时最多可以装载10 片晶圆,不能装载晶圆盒。

n 晶圆在晶圆加工站进行加工,加工需耗费一定的时间。

6) 晶圆盒运输车:在仓库和晶圆装卸站之间运输晶圆盒,同时只能运输一盒晶圆盒。

7) 晶圆运输车A:在晶圆装卸站和晶圆合并站之间运输晶圆,同时最多运输 5 片晶圆。

8) 晶圆运输车B:在晶圆合并站、缓冲区、晶圆加工站 A,B,C,D 之间运输晶圆,同时最多运输10 片晶圆。

9) 物流要求

n 将晶圆盒运输到晶圆装载站。n 晶圆运输车将晶圆盒中的晶圆运输到晶圆合并站。

n 晶圆盒运输车将晶圆盒运输回仓库。

3,NI杯

¨ 杯赛题目:DAC芯片测试

¨ 参赛组别:A组

¨ 赛题内容:

1. 初赛环节:

NIC公司最近正在竞争一个8bit DAC芯片设计的订单机会,需要按照甲方需求尽快提交芯片的设计和测试方案参加评审会。你们作为NIC公司的芯片研发和测试团队,承担了这个任务,需要在2021年8月31日以前完成芯片的设计和测试方案,方案的好坏直接决定了甲方是否会和NIC公司合作。

甲方对于芯片的设计和测试方案要求如下:

1) DAC芯片架构为R-2R,分辨率为8bit

2) DAC芯片的接口和封装形式可自行设计

3) 要求基于Multisim实现该DAC芯片的电路原理仿真(基于分立器件的电路原理功能性仿真)

4) 要求基于Ultiboard实现该DAC芯片的测试接口板设计

5) 要求基于IECUBE-3100完成该DAC芯片的自动化测试方案设计,测试项需要尽量多的覆盖该DAC芯片的静态参数指标和动态参数指标测试(至少覆盖:INL&DNL,THD,SFDR,SINAD,SNR),并给出每种指标的测试原理和基于制定测试平台的实现方式

6) 要求提交该DAC芯片的Multisim仿真源文件、Ultiboard设计源文件和Gerber文件以及测试方案详细设计文档

2. 分区决赛环节:

甲方已经认可了NIC公司的设计方案,期望NIC公司的工程师搭建该DAC芯片的原型并通过现场测试展示DAC芯片功能和指标。在甲方的考察现场,工程师需要在2个小时内,在IECUBE-3100的面包板区域基于分立元器件完成R-2R架构DAC芯片的原型搭建,并完成所搭建DAC芯片原型的功能和指标测试。

分区决赛环节的说明:

1) 现场提供搭建DAC芯片原型所需的分立元器件、必备线缆和IECUBE-3100平台;

2) 现场比赛时长2个小时;

3. 决赛环节:

NIC公司的方案已经被甲方采纳。目前已经有现成少量样片生产出来,需要你们现场实测样片的各项指标是否满足设计要求。测试团队针对该芯片已经编写好了一套测试程序框架,并完成了部分指标的测试。在测试现场,甲方要求增加实测该芯片的某2个指标,你们需要在现场2个小时内完成这两个指标的测试程序开发,并且集成到总体测试程序框架中。

决赛环节的说明:

1) 现场测试的芯片选定某商用8bit R-2R DAC芯片,于决赛前1天公布;

2) 测试系统现场已经搭建好(包括测试对象DAC芯片),参赛队伍在此基础上进行开发即可。测试硬件平台基于IECUBE-3100实现,测试软件框架基于LabVIEW实现;

3) 现场比赛时长2个小时;

4,信诺达杯

¨ 杯赛题目: 数字芯片测试

¨ 参赛组别:A组

¨ 赛题内容:

1. 初赛

初赛须完成器件测试方案,提交评委会评审。

具体要求如下:

1) 基于 ST3020 集成电路测试实训平台,完成 6264 器件的自动化测试方案设 计,以规定格式文档在截止日期前发送至指定邮箱。

2) 测试方案中必须包含: 被测数字电路的直流特性,输入漏电流、三态漏电流、输出高电平电压、 输出低电平电压、电源电流、待机电流等静态参数的测试方案; 被测电路的功能测试方案,编写图形向量采用“走步”方式来编写;

3) 测试项需要尽量多的覆盖 6264 器件的静态参数指标和功能,写出每项测试 的原理和基于指定测试平台的测试程序。

4) 测试方案思路清晰、文档框架结构合理、内容逻辑通顺。

说明:

1) 方案提交截止时间以官网公布为准;

2) 基础培训为线上,进阶培训为线下,以学校为单位统一报名;

3) 测试硬件平台基于 ST3020 集成电路测试系统实现;

4) 测试程序基于 C 语言实现;

5) 免费提供搭建虚拟软件开发环境的服务;

6) 可在线测试和离线编写测试程序,提供多个测试 Demo;

2. 决赛

对于通过初赛的队伍晋级决赛,由信诺达统一提供器件接口板、器件卡座,由学生自主手动焊接完成接口板的制作。

要求如下:

1) 携带并验证自制的接口板,进行连通性测试;

2) 针对该器件手册编写全套完整的测试程序,完成指定器件的自动化测试;

3) 现场实测器件的各项指标均应满足器件手册设计要求。

说明:

1) 现场测试初赛时的指定器件;

2) 测试硬件平台基于 ST3020 集成电路测试系统实现;

3) 测试软件框架基于 C++实现;

4) 现场比赛时长 2 个小时;

3. 总决赛

决赛胜出的队伍晋级全国总决赛,开赛现场公布被测器件,提供测试平台、接口板及辅材。

要求如下:

针对该器件手册编写全套完整的测试程序,完成指定器件的自动化测试;现场实测器件的各项指标均应满足器件手册设计要求。

说明:

1) 现场测试器件,于开赛时公布;

2) 测试硬件平台基于 ST3020 集成电路测试系统实现;

3) 测试软件框架基于 C++实现;

4) 现场比赛时长 2 个小时;


创新实践赛道

芯动科技杯

¨ 杯赛题目:集成电路及交叉学科创新技术和项目

¨ 参赛要求:B组

¨ 赛题内容:

1. 集成电路及交叉学科,具有一定创新性和市场化前景的创新技术成果和项目。

2. 创新成果和项目应为参赛团队主导或者深入参与。

3. 技术领域包括但不限于芯片设计、EDA软件、工艺材料、制造设备、集成电路模块及芯片应用等。

4. 应用行业包括但不限于如下方向:人工智能、无人驾驶、先进显示、智能制造、智慧医疗、智慧教育、智慧城市、可穿戴设备、航空航天、工业物联网等。

5. 技术指标不限,请根据应用场景自行确定。但要在设计报告中给出指标确定的依据和推算过程。

6. 设计工艺:如为芯片设计可选择使用华润上华0.18um工艺,优秀作品有流片机会;

l 作品提交:

1. 项目技术报告:包含创新成果的技术原理分析,具体架构和设计参数,设计实现,测试结果,演示实物和视频等。

2. 商业计划书:如果创新成果已经具备一定的市场化和产品化潜力,可撰写商业计划书。可包含如下内容:公司/团队介绍、技术与产品、市场分析、竞争分析、市场营销、投资说明、投资报酬与退出、风险分析、组织管理、经营预测、财务分析。

l 评分规则:

本杯赛将综合考虑项目的技术创新性和项目市场潜力及可行性,只要项目在两个方面任何一个具备优势,均有机会获得高分。

1. 技术创新性(40~60分):主要从技术创新性、先进性和知识产权等方面进行评价;

2. 项目可行性(20~30分):主要从技术可行性、商业模式可行性等方面进行评价;

3. 市场前景(10~20分):主要从项目产品市场空间或者社会效益方面进行评价;

4. 投资价值(0~10分):主要从项目发展阶段和进一步投资价值等多方面进行评价;

5. 现场路演和展示(10~20分):主要根据现场路演的效果和回答情况进行评价;

l 注意事项

1. 大赛组委会对参赛作品的提交材料拥有使用权和展示权。

2. 大赛联合投资机构搭建的高校集成电路创新孵化平台将优选出具备技术领先性或市场潜力的优秀项目,给予后续的技术,资金,行业资源和落地支持。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2021-01-27,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字积木 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 射频与模拟芯片设计赛道
    • 1,IEEE杯
      • 3,艾为杯
      • 数字与SoCs设计赛道
        • 1,Arm杯
          • 2,芯来RISC-V杯,
            • 3,Robei杯,
            • 国产处理器与芯片应用赛道
              • 1,平头哥杯
                • 3,飞腾杯,
                • FPGA设计与应用赛道
                  • 1,紫光同创
                    • 2,海云杯
                      • 3,Digilent杯
                        • 3,NI杯
                        • 创新实践赛道
                          • 芯动科技杯
                          相关产品与服务
                          图像处理
                          图像处理基于腾讯云深度学习等人工智能技术,提供综合性的图像优化处理服务,包括图像质量评估、图像清晰度增强、图像智能裁剪等。
                          领券
                          问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档