前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >按键扫描接口的Verilog HDL 实现

按键扫描接口的Verilog HDL 实现

作者头像
瓜大三哥
发布2018-02-24 17:23:15
9000
发布2018-02-24 17:23:15
举报
文章被收录于专栏:瓜大三哥瓜大三哥

使用Verilog HDL 实现图11-28 所示的4*4 矩阵键盘的接口扫描模块。

代码语言:js
复制
module button_scan(
clk,in,out,num
    );
 input clk;
 input [3:0]in;
 output [3:0]out;
 output [4:0]num;
 reg [4:0]num;
 reg [1:0]cnt=0;
 reg [1:0]tmp=0;
 reg [3:0]out_reg=0;
 wire [7:0]dsample;
 assign dsample={out_reg,in};
 assign out=out_reg;
 //generate the column of martix
 always @ ( posedge clk )
 begin
cnt<=cnt+1;
case(cnt)
0:out_reg<=4'b1000;
1:out_reg<=4'b0100;
2:out_reg<=4'b0010;
3:out_reg<=4'b0001;
endcase
 end
 //get the key number
 always @ ( posedge clk )
 begin
if(in==4'b0000)
begin
if(tmp==3)
begin
num<=16;
tmp<=0;
end
else
begin
num<=num;
tmp<=tmp+1;
end
end
else
begin
tmp<=0;
case(dsample)
8'b1000_0001 : num <= 0;
8'b1000_0010 : num <= 1;
8'b1000_0100 : num <= 2;
8'b1000_1000 : num <= 3;
8'b0100_0001 : num <= 4;
8'b0100_0010 : num <= 5;
8'b0100_0100 : num <= 6;
8'b0100_1000 : num <= 7;
8'b0010_0001 : num <= 8;
8'b0010_0010 : num <= 9;
8'b0010_0100 : num <= 10;
8'b0010_1000 : num <= 11;
8'b0001_0001 : num <= 12;
8'b0001_0010 : num <= 13;
8'b0001_0100 : num <= 14;
8'b0001_1000 : num <= 15;
endcase
end
 end
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-06-22,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档