Loading [MathJax]/jax/output/CommonHTML/config.js
前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
社区首页 >专栏 >对255取余数

对255取余数

作者头像
瓜大三哥
发布于 2018-02-26 03:33:38
发布于 2018-02-26 03:33:38
1.4K0
举报
文章被收录于专栏:瓜大三哥瓜大三哥

核心思想是LFSR

代码语言:js
AI代码解释
复制
`timescale 1ns / 1ps
//对255取余数
//网上的那个用LUT
//至于说逼近法,我就不考虑了
module div_255(                          
 input dividend,              
 input clk,                           
 input rst_n,  
 output [7:0] remainder               
    );
 parameter dividor = 8'hff;
 reg [7:0] shifter;
 reg [2:0] count;
 reg flag;
 always @(posedge clk or negedge rst_n)
 begin
 if(!rst_n)
 begin
 shifter <= 8'h00;
 count <= 3'b000;
 flag <= 1'b0;
 end
 else 
 begin
 if(count==3'b111)
 begin
 count <= 3'b000;
 flag <= 1'b1;
 end
 else
 begin
 count <= count + 1'b1;
 flag <= 1'b0;
 end 
 shifter[0]<=shifter[7]+dividend;
 shifter[1]<=shifter[0]+dividend;
 shifter[2]<=shifter[1]+dividend;
 shifter[3]<=shifter[2]+dividend;
 shifter[4]<=shifter[3]+dividend;
 shifter[5]<=shifter[4]+dividend;
 shifter[6]<=shifter[5]+dividend;
 shifter[7]<=shifter[6]+dividend;
 end 
 end
 assign remainder = (flag)?shifter:8'hzz;
endmodule
本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2017-09-24,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
编辑精选文章
换一批
FPGA系统性学习笔记连载_Day13【简易计时器实验】之【Xilinx Spartan-6实现】篇
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/06
7720
FPGA系统性学习笔记连载_Day13【简易计时器实验】之【Xilinx Spartan-6实现】篇
源码系列:基于FPGA的数模转换(DA)设计
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
2.8K0
源码系列:基于FPGA的数模转换(DA)设计
FPGA系统性学习笔记连载_Day12【呼吸灯实验】之【另外一种verilog实现】篇
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/06
4170
FPGA系统性学习笔记连载_Day12【呼吸灯实验】之【另外一种verilog实现】篇
序列检测一定要用状态机?
那些年,你总是不停的说序列检测,每当有人谈到序列检测你便说自己会一、二、三段式moore、mealy型状态机,茴字有几种写法...
根究FPGA
2020/08/26
6650
序列检测一定要用状态机?
FPGA系统性学习笔记连载_Day16【状态机:一段式、二段式、三段式】
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/06
1.7K2
FPGA系统性学习笔记连载_Day16【状态机:一段式、二段式、三段式】
恢复余数除法器
恢复余数除法器 算法描述 恢复余数除法器是一种常用的除法器,过程与手算除法的方法很类似,过程为 将除数向左位移直到比被除数大 执行被除数减除数操作,得余数,并将商向左移位1位,空位补1 若余数大于0,除数向右移位1位。如余数小于0,余数加当前除数,商最后一位置0,除数向右移位1位 重复到2,只到除数比最初的除数小 RTL代码 RTL代码就是使用了大量的if语句完成了以上的算法描述,其中 为了使移位后的除数确保大于被除数,直接将除数放到一个位宽WIDTH*3的寄存器的前WIDTH位 divisor_move
月见樽
2018/04/27
2.3K0
FPGA学习altera系列: 第十二篇 序列检测机设计
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
5890
FPGA学习altera系列: 第十二篇 序列检测机设计
源码系列:基于FPGA的数字电压表(AD)设计
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
1.5K0
源码系列:基于FPGA的数字电压表(AD)设计
基于FPGA的SDRAM控制器设计(4)[通俗易懂]
前面的三篇文章,我们已经简述了基本的SDRAM的基本操作。这里总结一下SDRAM的几个模块,SDRAM的上电初始化,自刷新、读写模块、顶层仲裁控制。了解了上面的操作,我们已经可以完成SDRAM控制器的代码完成,接下来我们便完善SDRAM控制器的接口,简化该SDRAM控制器设计,使得该SDRAM控制器可以很容易的使用。下面的接口定义如下:
全栈程序员站长
2022/07/28
6680
基于FPGA的SDRAM控制器设计(4)[通俗易懂]
FPGA系统性学习笔记连载_Day17【打卡计数、数码管显示实验】
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/07
2820
FPGA系统性学习笔记连载_Day17【打卡计数、数码管显示实验】
FPGA系统性学习笔记连载_Day10 【时序逻辑、竞争冒险、同步复位、异步复位】
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/01
6140
FPGA系统性学习笔记连载_Day10 【时序逻辑、竞争冒险、同步复位、异步复位】
【收藏】FPGA数字IC刷题58个Verilog代码及讲解(状态机、跨时钟、同步/异步FIFO、DMUX、奇数/小数分频)
牛客 Verilog 刷题入门篇1~24 + 进阶篇1~34 题解代码,所有代码均能通过测试,配合视频讲解效果更佳。本文给出代码,部分题目给出必要说明。 很多题目本身出题有些问题,着重理解题目,没必要钻牛角尖。
FPGA探索者
2022/11/01
2.9K0
【收藏】FPGA数字IC刷题58个Verilog代码及讲解(状态机、跨时钟、同步/异步FIFO、DMUX、奇数/小数分频)
【Verilog刷题篇】硬件工程师进阶1|序列检测
问题描述:请编写一个序列检测模块,检测输入信号a是否满足01110001序列,当信号满足该序列,给出指示信号match。
程序员洲洲
2024/06/07
1050
【Verilog刷题篇】硬件工程师进阶1|序列检测
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
经典电路设计是数字IC设计里基础中的基础,盖大房子的第一部是打造结实可靠的地基,每一篇笔者都会分门别类给出设计原理、设计方法、verilog代码、Testbench、仿真波形。然而实际的数字IC设计过程中考虑的问题远多于此,通过本系列希望大家对数字IC中一些经典电路的设计有初步入门了解。能力有限,纰漏难免,欢迎大家交流指正。快速导航链接如下:
Loudrs
2023/05/16
12.9K0
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
FPGA系统性学习笔记连载_Day10 【流水灯实验】
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/06
7280
FPGA系统性学习笔记连载_Day10 【流水灯实验】
源码系列:基于FPGA的计算器设计(附源工程)
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
8840
源码系列:基于FPGA的计算器设计(附源工程)
源码系列:基于FPGA的呼吸灯设计(附源工程)
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
1.2K0
源码系列:基于FPGA的呼吸灯设计(附源工程)
FPGA系统性学习笔记连载_Day17【状态机:实现按键消抖】 【verilog仿真】篇
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/04/07
4890
FPGA系统性学习笔记连载_Day17【状态机:实现按键消抖】 【verilog仿真】篇
FPGA系统性学习笔记连载_Day6 FPGA三种建模方式区别及Verilog语法基础篇
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/03/31
1.1K0
不恢复余数除法器
不恢复余数除法器 基本算法 不恢复余数除法器的基本算法来自于恢复余数除法器,区别在于当余数变负时不停下恢复余数而是继续运行迭代,并在迭代中加上移位后除数而不是减去移位后除数,基本算法如下所示 将除数向左移位到恰好大于被除数 若余数为正:余数减去移位后除数;若余数为负:余数加上移位后除数; 若现余数为正,该位结果为1,否则为0,将除数向右移位一位 重复2,3,知道移位后除数小于原除数 RTL代码 module norestore_divider #( parameter WIDTH = 4 )(
月见樽
2018/04/27
1.2K0
推荐阅读
FPGA系统性学习笔记连载_Day13【简易计时器实验】之【Xilinx Spartan-6实现】篇
7720
源码系列:基于FPGA的数模转换(DA)设计
2.8K0
FPGA系统性学习笔记连载_Day12【呼吸灯实验】之【另外一种verilog实现】篇
4170
序列检测一定要用状态机?
6650
FPGA系统性学习笔记连载_Day16【状态机:一段式、二段式、三段式】
1.7K2
恢复余数除法器
2.3K0
FPGA学习altera系列: 第十二篇 序列检测机设计
5890
源码系列:基于FPGA的数字电压表(AD)设计
1.5K0
基于FPGA的SDRAM控制器设计(4)[通俗易懂]
6680
FPGA系统性学习笔记连载_Day17【打卡计数、数码管显示实验】
2820
FPGA系统性学习笔记连载_Day10 【时序逻辑、竞争冒险、同步复位、异步复位】
6140
【收藏】FPGA数字IC刷题58个Verilog代码及讲解(状态机、跨时钟、同步/异步FIFO、DMUX、奇数/小数分频)
2.9K0
【Verilog刷题篇】硬件工程师进阶1|序列检测
1050
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
12.9K0
FPGA系统性学习笔记连载_Day10 【流水灯实验】
7280
源码系列:基于FPGA的计算器设计(附源工程)
8840
源码系列:基于FPGA的呼吸灯设计(附源工程)
1.2K0
FPGA系统性学习笔记连载_Day17【状态机:实现按键消抖】 【verilog仿真】篇
4890
FPGA系统性学习笔记连载_Day6 FPGA三种建模方式区别及Verilog语法基础篇
1.1K0
不恢复余数除法器
1.2K0
相关推荐
FPGA系统性学习笔记连载_Day13【简易计时器实验】之【Xilinx Spartan-6实现】篇
更多 >
领券
社区富文本编辑器全新改版!诚邀体验~
全新交互,全新视觉,新增快捷键、悬浮工具栏、高亮块等功能并同时优化现有功能,全面提升创作效率和体验
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档