前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >对255取余数

对255取余数

作者头像
瓜大三哥
发布2018-02-26 11:33:38
1.3K0
发布2018-02-26 11:33:38
举报
文章被收录于专栏:瓜大三哥瓜大三哥

核心思想是LFSR

代码语言:js
复制
`timescale 1ns / 1ps
//对255取余数
//网上的那个用LUT
//至于说逼近法,我就不考虑了
module div_255(                          
 input dividend,              
 input clk,                           
 input rst_n,  
 output [7:0] remainder               
    );
 parameter dividor = 8'hff;
 reg [7:0] shifter;
 reg [2:0] count;
 reg flag;
 always @(posedge clk or negedge rst_n)
 begin
 if(!rst_n)
 begin
 shifter <= 8'h00;
 count <= 3'b000;
 flag <= 1'b0;
 end
 else 
 begin
 if(count==3'b111)
 begin
 count <= 3'b000;
 flag <= 1'b1;
 end
 else
 begin
 count <= count + 1'b1;
 flag <= 1'b0;
 end 
 shifter[0]<=shifter[7]+dividend;
 shifter[1]<=shifter[0]+dividend;
 shifter[2]<=shifter[1]+dividend;
 shifter[3]<=shifter[2]+dividend;
 shifter[4]<=shifter[3]+dividend;
 shifter[5]<=shifter[4]+dividend;
 shifter[6]<=shifter[5]+dividend;
 shifter[7]<=shifter[6]+dividend;
 end 
 end
 assign remainder = (flag)?shifter:8'hzz;
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2017-09-24,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档