首页
学习
活动
专区
工具
TVP
发布

瓜大三哥

专栏成员
339
文章
562521
阅读量
91
订阅数
How do I reset my FPGA?
Editor’s Note: This article first appeared in the Summer 2011 issue of Xcell Journal , and is reproduced here with the kind permission of Xilinx.
瓜大三哥
2021-01-12
5670
fpga复位的几种方法
在 FPGA 设计中,复位起到的是同步信号的作用,能够将所有的存储元件设置成已知状态。在数字电路设计中,设计人员一般把全局复位作为一个外部引脚来实现,在加电的时候初始化设计。全局复位引脚与任何其它输入引脚类似,对 FPGA 来说往往是异步的。设计人员可以使用这个信号在 FPGA 内部对自己的设计进行异步或者同步复位。
瓜大三哥
2021-01-12
1.9K0
FPGA上电时序
因为ZYNQ 的 PS 和 PL 部分的电源有上电顺序的要求,在电路设计中,按照ZYQN 的电源要求设计,上电依次为1.0V -> 1.8V -> 1.5 V -> 3.3V -> VCCIO,下图为电源的电路设计:
瓜大三哥
2020-09-04
3.4K0
视频处理之Sobel【附源码】
图像边缘是图像最基本的特征,所谓边缘(Edge) 是指图像局部特性的不连续性。灰度或结构等信息的突变处称之为边缘。例如,灰度级的突变、颜色的突变,、纹理结构的突变等。这些突变会导致梯度很大。图像的梯度可以用一阶导数和二阶偏导数来求解。但是图像以矩阵的形式存储的,不能像数学理论中对直线或者曲线求导一样,对一幅图像的求导相当于对一个平面、曲面求导。对图像的操作,我们采用模板对原图像进行卷积运算,从而达到我们想要的效果。而获取一幅图像的梯度就转化为:模板(Roberts、Prewitt、Sobel、Lapacian算子)对原图像进行卷积。本文主要描述Sobel算子的实现原理和实现过程。
瓜大三哥
2020-06-09
9470
FPGA设计技巧
FPGA的用处比我们平时想象的用处更广泛,原因在于其中集成的模块种类更多,而不仅仅是原来的简单逻辑单元查找表(LUT)。而且还有一些其他资源,例如:
瓜大三哥
2020-05-07
8930
Xilinx FPGA底层资源介绍
XILINX FPGA 芯片整体架构如下所示,整个芯片是以BANK进行划分的,不同的工艺、器件速度和对应的时钟具有不同的BANK数量(下面截图是以K7325tffg676为例):左边的BANK都是HR BANK,右侧的最下面三个是HP BANK,最上面的四个BANK是transceiver。
瓜大三哥
2020-05-07
3.6K0
仿真实例1——正弦函数仿真(ROM)
对于FPGA来说,产生三角函数,幂函数,指数函数或者log函数等,如果真的使用乘法器来撘电路,那是极其消耗DSP资源的 ,所以一般情况下都是采用LUT进行查表获取的。 所以产生一个正弦波形的实现步骤如下: 1.正弦函数的在给定取值范围内的函数值 2.将正弦函数的函数值存入ROM或者RAM中(初始化) 3.从ROM或者RAM中读出函数值
瓜大三哥
2020-05-07
1.8K0
FPGA程序升级续
fpga掉电丢失,一般使用外部flash存储代码,flash有spi、bpi、qspi等接口,外部存储器的时钟管脚一般与fpga的CCLK_0连接,当使用远程更新时,首先fpga内部有控制flash的驱动(即逻辑控制flash时序),当然flash时钟也需要控制了,但这时时钟管脚已经连接到CCLK_0,那该如何操作啊,你直接约束分配管脚试试,是通不过的,这时STARTUPE2就派上用场了,那该如何使用啊,如下(K7系列verilog):
瓜大三哥
2020-03-20
6880
zynq中PS访问BRAM(二)
(1)ZYNQ中PS端MIO操作 (2)ZYNQ中PS端MIO中断 (3)ZYNQ中PS端UART通信
瓜大三哥
2019-11-05
2K0
zynq中PS访问BRAM(一)
(1)ZYNQ中PS端MIO操作 (2)ZYNQ中PS端MIO中断 (3)ZYNQ中PS端UART通信
瓜大三哥
2019-11-05
2.3K0
Zynq中的AXI4功能
AXI4-Lite接口是AXI4的子集,专用于和元器件内的控制寄存器进行通信。AXI-Lite允许构建简单的元件接口。这个接口规模较小,对设计和验证方面的要求更少。AXI4-Lite接口的特性如下:
瓜大三哥
2019-07-30
7850
​Zynq 7000的资源介绍
l允许单个,对称或者非对称(Symmetrical MultiProcessing,SMP)的多处理配置。
瓜大三哥
2019-07-23
1.3K0
AXI总线简介(一)
AXI4.0-lite是AXI的简化版本,ACE4.0 是AXI缓存一致性扩展接口,AXI4.0-stream是ARM公司和Xilinx公司一起提出,主要用在FPGA进行以数据为主导的大量数据的传输应用。
瓜大三哥
2019-06-20
2.1K0
FPGA乒乓操作你了解吗? 还不赶快来看
什么是FPGA操作 你了解其中的奥秘吗 想知道更多? 跟小编一起来学习吧 乒乓操作的处理流程为:输入数据通过“输入数据选择单元"将数据等时分配到两个数据缓冲模块中,在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模块1"中,在第二个缓冲周期,通过“输入数据单元”切换,将输入的数据缓存到“数据缓冲模块2’’,同时将“数据缓冲模块1”缓存的第一个周期数据通过“数据选择单元”的选择,送到“数据流运算处理模块’’进行处理,在第三个缓冲周期通过“输入数据选择单元"的再次切换,将输入的数据流缓存到“数据缓冲模块1”中,
瓜大三哥
2018-06-11
1.7K0
图像分割(四)
图像分割(四) 之基于FPGA的局部自适应分割 子模块设计 窗口缓存模块win_buf 本模块不做任何算法上的处理,只是负责将当前输入像素的二维窗口元素缓存并组成一个一维的向量输出。 模块的构建非常简
瓜大三哥
2018-02-26
8370
基于FPGA的非线性滤波器(四)
基于FPGA的非线性滤波器(四) 之并行全比较排序模块设计 2.sort_2d模块设计 对于二维运算,采用同样的思路来处理,整个计算步骤如下: (1)计算一维行方向的排序结果输出。 (2)将(1)步的
瓜大三哥
2018-02-26
6940
直方图操作(一)
如果要对图像分辨率为640x512位宽的图像进行直方图统计,则有 AWDPRAM≥8 DWDPRAM≥log2(Pixelttotal)=log2(640x512)≈19 通常情况下会将两个参数取为2的整数次幂,即 AWDPRAM≥=8 DWDPRAM=32 直方图统计步骤如下 1.将当前统计值读出,加1后重新写入RAM 2.重复以上步骤,直到当前图像统计完毕 3.在下一幅图到来之前将节后读出 4.读出之后对RAm内容进行清零 因此,完成直方图统计,至少需要三个电路:统计电路、读出电路和清零电路
瓜大三哥
2018-02-26
4840
直方图操作(二)
直方图操作(二)之统计电路 在实际的图像中,连续的像素点灰度值为相同值的情况非常常见,如果每来一个像素都对双口RAM进行一次寻址和写操作,显然降低了统计效率而提高了功耗。本文中给出一种优化的统计方式:
瓜大三哥
2018-02-26
7750
XDC
## Timing Assertions Section # Primary clocks # Virtual clocks # Generated clocks # Clock Groups # Bus Skew constraints # Input and output delay constraints ## Timing Exceptions Section # False Paths # Max Delay / Min Delay # Multicycle Paths # Case Analys
瓜大三哥
2018-02-26
1K0
AXI BRAM Controller和Block memory generator(BMG)
AXI BRAM Controller 这个块RAM在AXI interconnect被作为AXI Endpoint的从核并且作为系统主设备与局部块RAM通信。 AW:写地址通道 AR:度地址通道
瓜大三哥
2018-02-24
2.2K0
点击加载更多
社区活动
【纪录片】中国数据库前世今生
穿越半个世纪,探寻中国数据库50年的发展历程
Python精品学习库
代码在线跑,知识轻松学
博客搬家 | 分享价值百万资源包
自行/邀约他人一键搬运博客,速成社区影响力并领取好礼
技术创作特训营·精选知识专栏
往期视频·千货材料·成员作品 最新动态
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档