前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
社区首页 >专栏 >桶形移位寄存器(二)

桶形移位寄存器(二)

作者头像
瓜大三哥
发布于 2018-02-26 02:44:36
发布于 2018-02-26 02:44:36
2.2K0
举报
文章被收录于专栏:瓜大三哥瓜大三哥

桶形移位寄存器即循环移位寄存器,在浮点加减运算、压缩/解压缩和图像处理算法中有应用,常用的是组合逻辑实现的桶形移位寄存器。

从面积的角度来说,这种设计方式的确可以节省资源,但是在高速时序电路中,这样的设计就很不合理了。

代码语言:js
AI代码解释
复制
module bshift(
clk,
rst,
din,
rotate_cnt,
dout
 );
parameterWIDTH = 8;
parameterCNT_SIZE = 3;
inputclk,rst;
input [CNT_SIZE -1 : 0] rotate_cnt;
input [WIDTH - 1 : 0] din;
output [WIDTH - 1 : 0] dout;
reg [WIDTH - 1 : 0] dout;
wire [WIDTH - 1 : 0] barrel,temp;
wire [2*WIDTH - 1 : 0] bar_temp;
assign bar_temp = {din,din}<<rotate_cnt;
assign {barrel,temp} = {din,din}<<rotate_cnt;
always @(posedge clk or posedge rst)
begin
if(rst)
dout<='b0;
else
dout<=barrel;
end
endmodule
本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2017-08-08,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
编辑精选文章
换一批
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
经典电路设计是数字IC设计里基础中的基础,盖大房子的第一部是打造结实可靠的地基,每一篇笔者都会分门别类给出设计原理、设计方法、verilog代码、Testbench、仿真波形。然而实际的数字IC设计过程中考虑的问题远多于此,通过本系列希望大家对数字IC中一些经典电路的设计有初步入门了解。能力有限,纰漏难免,欢迎大家交流指正。快速导航链接如下:
Loudrs
2023/05/16
12.7K0
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
串并转换(串入并出、并入串出、移位寄存器法和计数器法|verilog代码|Testbench|仿真结果)
经典电路设计是数字IC设计里基础中的基础,盖大房子的第一部是打造结实可靠的地基,每一篇笔者都会分门别类给出设计原理、设计方法、verilog代码、Testbench、仿真波形。然而实际的数字IC设计过程中考虑的问题远多于此,通过本系列希望大家对数字IC中一些经典电路的设计有初步入门了解。能力有限,纰漏难免,欢迎大家交流指正。快速导航链接如下:
Loudrs
2023/05/17
6.9K0
串并转换(串入并出、并入串出、移位寄存器法和计数器法|verilog代码|Testbench|仿真结果)
verilog序列生成器最少移位寄存器实现[通俗易懂]
序列生成与序列检测都是数字电路中比较常见的电路,序列检测实现检测一个序列的是否为目标序列,序列生成 则是生成指定序列。
全栈程序员站长
2022/09/13
7310
verilog序列生成器最少移位寄存器实现[通俗易懂]
Verilog设计实例(6)详解移位寄存器
在数字电子产品中,移位寄存器是级联的触发器,其中一个触发器的输出引脚q连接到下一个触发器的数据输入引脚(d)。 因为所有触发器都在同一时钟上工作,所以存储在移位寄存器中的位阵列将移位一个位置。 例如,如果一个5位右移寄存器的初始值为10110,并且将移位寄存器的输入绑定到O,则下一个模式将为01011,下一个模式将为00101。
Reborn Lee
2020/06/29
3.6K0
移位寄存器之右移位寄存器(Verilog HDL语言描述)
之所以单独把这个简单的东西拿出来,就是因为这个东西我可能要用到,不能眼高手低,以为简单就一眼带过,之后,用的时候就不能快速地拿出来,处于这个简单的目的,这个知识点贴出来遛遛。
全栈程序员站长
2022/09/13
1.2K0
移位寄存器之右移位寄存器(Verilog HDL语言描述)
寄存器和移位寄存器分析与建模
与普通移位寄存器的连接不同,输入端D连接两个不同的数据源,一个数据源为前级的输出,用于移位寄存器的操作;另一个数据来自于外部输入,作为并行操作的一部分。
timerring
2023/02/24
1.4K0
寄存器和移位寄存器分析与建模
Verilog实现移位寄存器「建议收藏」
发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/160236.html原文链接:https://javaforall.cn
全栈程序员站长
2022/09/13
5820
Verilog实现移位寄存器「建议收藏」
毛刺消除与输入消抖(单边毛刺滤除、双边毛刺滤除、输入防抖|verilog代码|Testbench|仿真结果)
经典电路设计是数字IC设计里基础中的基础,盖大房子的第一部是打造结实可靠的地基,每一篇笔者都会分门别类给出设计原理、设计方法、verilog代码、Testbench、仿真波形。然而实际的数字IC设计过程中考虑的问题远多于此,通过本系列希望大家对数字IC中一些经典电路的设计有初步入门了解。能力有限,纰漏难免,欢迎大家交流指正。快速导航链接如下:
Loudrs
2023/05/26
5.2K0
毛刺消除与输入消抖(单边毛刺滤除、双边毛刺滤除、输入防抖|verilog代码|Testbench|仿真结果)
08【Verilog实战】4bit移位寄存器设计与功能验证(附源码)[通俗易懂]
虚拟机:VMware -14.0.0.24051 环 境:ubuntu 18.04.1 脚 本:makefile(点击直达) 应用工具:vcs 和 verdi
全栈程序员站长
2022/09/13
1.8K0
08【Verilog实战】4bit移位寄存器设计与功能验证(附源码)[通俗易懂]
Verilog数字系统设计——移位寄存器实现
这里用例子说明较为清晰: 假如一个二进制数字是 1111100000 自循环左移 –> 1111000001 1110000011 1100000111 … 自循环右移 –> 0111110000 0011111000 0001111100 …
全栈程序员站长
2022/09/13
1.2K0
Verilog数字系统设计——移位寄存器实现
verilog 移位寄存器与流水灯「建议收藏」
用Verilog写一个简单的多功能移位寄存器,并在移位寄存器的基础上进行修改,利用移位功能形成流水灯的效果 基本的功能如下:
全栈程序员站长
2022/09/13
1.1K0
verilog 移位寄存器与流水灯「建议收藏」
HDLBits答案(12)_Verilog移位寄存器「建议收藏」
今天更新一节寄存器相关内容,其中涉及CRC校验的内容是用线性反馈移位寄存器搭建而成的。
全栈程序员站长
2022/09/13
2180
HDLBits答案(12)_Verilog移位寄存器「建议收藏」
寄存器,移位寄存器的电路原理以及verilog代码实现「建议收藏」
2.同步并行置数:D0~D3为4个输入代码,当CP上升沿到达时,D0~D3被同时并行置入。
全栈程序员站长
2022/09/07
1.5K0
寄存器,移位寄存器的电路原理以及verilog代码实现「建议收藏」
【Verilog】移位寄存器总结:移位寄存器、算数移位寄存器、线性反馈移位寄存器(LFSR)
LFSR用于产生可重复的伪随机序列PRBS,。如图所示。其中gn为反馈系数,取值只能为0或1,取为0时表明不存在该反馈之路,取为1时表明存在该反馈之路;这里的反馈系数决定了产生随机数的算法的不同。
全栈程序员站长
2022/09/13
2.3K0
【Verilog】移位寄存器总结:移位寄存器、算数移位寄存器、线性反馈移位寄存器(LFSR)
HDLBits: 在线学习 SystemVerilog(十七)-Problem 106-114(移位寄存器)
HDLBits 是一组小型电路设计习题集,使用 Verilog/SystemVerilog 硬件描述语言 (HDL) 练习数字硬件设计~
碎碎思
2023/02/14
7490
HDLBits: 在线学习 SystemVerilog(十七)-Problem 106-114(移位寄存器)
基于FPGA的模拟 I²C协议系统设计(中)
今天给大侠带来基于FPGA的 模拟 I²C 协议设计,由于篇幅较长,分三篇。今天带来第二篇,中篇,I²C 协议的具体实现。话不多说,上货。
FPGA技术江湖
2021/05/21
1.1K0
基于FPGA的模拟 I²C协议系统设计(中)
FPGA基础知识极简教程(6)UART通信与移位寄存器的应用
相关博文1单独介绍了各种类型的移位寄存器,其中就包括串行输入并行输出移位寄存器(SIPO)以及并行输入串行输出移位寄存器 (PISO)。移位寄存器有如下功能:
Reborn Lee
2020/06/29
1.4K0
跨时钟域传输总结(包含verilog代码|Testbench|仿真结果)
快时钟域相比慢时钟域采样速度更快,也就是说从慢时钟域来到快时钟域的信号一定可以被采集到。既然快时钟一定可以采集到慢时钟分发的数据,那么考虑的问题就只剩下如何保证采样到的信号质量!最常用的同步方法是双级触发器缓存法,俗称延迟打拍法。信号从一个时钟域进入另一个时钟域之前,将该信号用两级触发器连续缓存两次,可有效降低因为时序不满足而导致的亚稳态问题。
Loudrs
2023/06/08
5.2K1
跨时钟域传输总结(包含verilog代码|Testbench|仿真结果)
【Verilog】阻塞和非阻塞赋值引申出的原则分析
详细地了解Verilog的层次化事件队列有助于我们理解Verilog的阻塞和非阻塞赋值的功能。所谓层次化事件队列指的是用于调度仿真事件的不同的Verilog事件队列。在IEEE Verilog标准中,层次化事件队列被看作是一个概念模型。设计仿真工具的厂商如何来实现事件队列,由于关系到仿真器的效率,被视为技术诀窍,不能公开发表。本节也不作详细介绍。
碎碎思
2021/08/10
2.2K0
【Verilog】阻塞和非阻塞赋值引申出的原则分析
全并行流水线移位相加乘法器
基本算法 与分时复用的移位相加类似,取消分时复用,使用面积换时间,使用流水线设计,流水线填满后可以一个时钟周期计算出一个结果 分别计算乘数的移位结果,并与被乘数对应位相与 使用加法树将结果相加 RTL代码 移位部分 固定移位单元代码如下,当被乘数第n位为1时,输出乘数移位向左移位n位的结果 module shift_unit #( parameter WIDTH = 4, parameter SHIFT_NUM = 0 )( input clk, // Clock in
月见樽
2018/04/27
1.1K0
推荐阅读
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
12.7K0
串并转换(串入并出、并入串出、移位寄存器法和计数器法|verilog代码|Testbench|仿真结果)
6.9K0
verilog序列生成器最少移位寄存器实现[通俗易懂]
7310
Verilog设计实例(6)详解移位寄存器
3.6K0
移位寄存器之右移位寄存器(Verilog HDL语言描述)
1.2K0
寄存器和移位寄存器分析与建模
1.4K0
Verilog实现移位寄存器「建议收藏」
5820
毛刺消除与输入消抖(单边毛刺滤除、双边毛刺滤除、输入防抖|verilog代码|Testbench|仿真结果)
5.2K0
08【Verilog实战】4bit移位寄存器设计与功能验证(附源码)[通俗易懂]
1.8K0
Verilog数字系统设计——移位寄存器实现
1.2K0
verilog 移位寄存器与流水灯「建议收藏」
1.1K0
HDLBits答案(12)_Verilog移位寄存器「建议收藏」
2180
寄存器,移位寄存器的电路原理以及verilog代码实现「建议收藏」
1.5K0
【Verilog】移位寄存器总结:移位寄存器、算数移位寄存器、线性反馈移位寄存器(LFSR)
2.3K0
HDLBits: 在线学习 SystemVerilog(十七)-Problem 106-114(移位寄存器)
7490
基于FPGA的模拟 I²C协议系统设计(中)
1.1K0
FPGA基础知识极简教程(6)UART通信与移位寄存器的应用
1.4K0
跨时钟域传输总结(包含verilog代码|Testbench|仿真结果)
5.2K1
【Verilog】阻塞和非阻塞赋值引申出的原则分析
2.2K0
全并行流水线移位相加乘法器
1.1K0
相关推荐
九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)
更多 >
领券
社区富文本编辑器全新改版!诚邀体验~
全新交互,全新视觉,新增快捷键、悬浮工具栏、高亮块等功能并同时优化现有功能,全面提升创作效率和体验
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
查看详情【社区公告】 技术创作特训营有奖征文